Share via


.ENDPROLOG

發出序言宣告結尾的訊號。

語法

.ENDPROLOG

備註

在 PROC FRAME 之間 使用區域以外的任何序言宣告時發生錯誤。ENDPROLOG 。

如需詳細資訊,請參閱 適用于 x64 的 MASM (ml64.exe)

另請參閱

指示詞參考
MASM BNF 文法