XmlNode.ICloneable.Clone Metoda

Definice

Popis tohoto člena naleznete v tématu Clone().

 virtual System::Object ^ System.ICloneable.Clone() = ICloneable::Clone;
object ICloneable.Clone ();
abstract member System.ICloneable.Clone : unit -> obj
override this.System.ICloneable.Clone : unit -> obj
Function Clone () As Object Implements ICloneable.Clone

Návraty

Object

Kopie uzlu, ze kterého je volána.

Implementuje

Poznámky

Tento člen je explicitní implementace členu rozhraní. Lze ho použít pouze v XmlNode případě, že je instance přetypována do ICloneable rozhraní.

Platí pro