End <— instrukcja słowa kluczowego> (Visual Basic)

Gdy następuje kolejne słowo kluczowe, kończy definicję bloku instrukcji wprowadzonego przez to słowo kluczowe.

Składnia

End AddHandler
End Class
End Enum
End Event
End Function
End Get
End If
End Interface
End Module
End Namespace
End Operator
End Property
End RaiseEvent
End RemoveHandler
End Select
End Set
End Structure
End Sub
End SyncLock
End Try
End While
End With

generatora

Element opis
End Wymagane. Przerywa definicję elementu programowania.
AddHandler Wymagane do zakończenia metody dostępu rozpoczętej przez zgodną AddHandlerAddHandler instrukcję w niestandardowej instrukcji zdarzenia.
Class Wymagane do zakończenia definicji klasy rozpoczętej przez zgodną instrukcję klasy.
Enum Wymagane do zakończenia definicji wyliczenia rozpoczętej przez zgodną instrukcję wyliczenia.
Event Wymagane do zakończenia definicji zdarzenia rozpoczętej Custom przez zgodną instrukcję zdarzenia.
Function Wymagane do zakończenia Function definicji procedury rozpoczętej przez zgodną instrukcję funkcji. Jeśli wykonanie napotka instrukcję End Function , kontrolka powróci do kodu wywołującego.
Get Wymagane do zakończenia Property definicji procedury rozpoczętej przez pasującą instrukcję Get. Jeśli wykonanie napotka instrukcję End Get , kontrolka powraca do instrukcji żądającej wartości właściwości.
If Wymagane do zakończenia If...Then...Else definicja bloku rozpoczęta przez zgodną If instrukcję. Zobacz , czy... Następnie... Else, instrukcja.
Interface Wymagane do zakończenia definicji interfejsu rozpoczętej przez zgodną instrukcję interfejsu.
Module Wymagane do zakończenia definicji modułu rozpoczętej przez zgodną instrukcję modułu.
Namespace Wymagane do zakończenia definicji przestrzeni nazw rozpoczętej przez zgodną instrukcję przestrzeni nazw.
Operator Wymagane do zakończenia definicji operatora rozpoczętej przez zgodną instrukcję operatora.
Property Wymagane do zakończenia definicji właściwości rozpoczętej przez zgodną instrukcję właściwości.
RaiseEvent Wymagane do zakończenia RaiseEvent metody dostępu rozpoczętej przez zgodną RaiseEvent instrukcję w niestandardowej instrukcji zdarzenia.
RemoveHandler Wymagane do zakończenia RemoveHandler metody dostępu rozpoczętej przez zgodną RemoveHandler instrukcję w niestandardowej instrukcji zdarzenia.
Select Wymagane do zakończenia Selectdefinicji bloku ...Case rozpoczętej przez zgodną Select instrukcję. Zobacz Wybieranie... Case, instrukcja.
Set Wymagane do zakończenia Property definicji procedury rozpoczętej przez zgodną instrukcję Set. Jeśli wykonanie napotka instrukcję End Set , kontrolka powraca do instrukcji ustawiania wartości właściwości.
Structure Wymagane do zakończenia definicji struktury rozpoczętej przez zgodną instrukcję struktury.
Sub Wymagane do zakończenia definicji procedury rozpoczętej Sub przez zgodną instrukcję podrzędną. Jeśli wykonanie napotka instrukcję End Sub , kontrolka powróci do kodu wywołującego.
SyncLock Wymagane do zakończenia SyncLock definicji bloku rozpoczętej przez zgodną SyncLock instrukcję. Zobacz SyncLock, instrukcja.
Try Wymagane do zakończenia Try...Catch...Finally definicja bloku rozpoczęta przez zgodną Try instrukcję. Zobacz Wypróbuj... Złapać... Finally, instrukcja.
While Wymagane do zakończenia While definicji pętli rozpoczętej przez zgodną While instrukcję. Zobacz While... End While, instrukcja.
With Wymagane do zakończenia With definicji bloku rozpoczętej przez zgodną With instrukcję. Zobacz z... End with, instrukcja.

Dyrektyw

Po znaku numeru (#) End słowo kluczowe kończy blok przetwarzania wstępnego wprowadzony przez odpowiednią dyrektywę.

#End ExternalSource
#End If
#End Region
Element opis
#End Wymagane. Przerywa definicję bloku przetwarzania wstępnego.
ExternalSource Wymagany do zakończenia zewnętrznego bloku źródłowego rozpoczętego przez zgodną dyrektywę #ExternalSource.
If Wymagane do zakończenia bloku kompilacji warunkowej rozpoczętej przez zgodną #If dyrektywę. Zobacz #If... Następnie...#Else dyrektywy.
Region Wymagane do zakończenia bloku regionu źródłowego rozpoczętego przez zgodną dyrektywę #Region.

Uwagi

Instrukcja End bez dodatkowego słowa kluczowego natychmiast kończy wykonywanie.

Uwagi dla deweloperów inteligentnych urządzeń

Instrukcja End bez dodatkowego słowa kluczowego nie jest obsługiwana.

Zobacz też